Search Results for author: Jason Cong

Found 11 papers, 4 papers with code

ProgSG: Cross-Modality Representation Learning for Programs in Electronic Design Automation

no code implementations18 May 2023 Yunsheng Bai, Atefeh Sohrabizadeh, Zongyue Qin, Ziniu Hu, Yizhou Sun, Jason Cong

In addition, these programs can be compiled and converted into a control data flow graph (CDFG), and the compiler also provides fine-grained alignment between the code tokens and the CDFG nodes.

Autonomous Driving Representation Learning

FPGA-Based In-Vivo Calcium Image Decoding for Closed-Loop Feedback Applications

no code implementations9 Dec 2022 Zhe Chen, Garrett J. Blair, Chengdi Cao, Jim Zhou, Daniel Aharoni, Peyman Golshani, Hugh T. Blair, Jason Cong

Our FPGA implementation enables the real-time calcium image decoding with sub-ms processing latency for closed-loop feedback applications.

Enabling Automated FPGA Accelerator Optimization Using Graph Neural Networks

no code implementations17 Nov 2021 Atefeh Sohrabizadeh, Yunsheng Bai, Yizhou Sun, Jason Cong

High-level synthesis (HLS) has freed the computer architects from developing their designs in a very low-level language and needing to exactly specify how the data should be transferred in register-level.

SPA-GCN: Efficient and Flexible GCN Accelerator with an Application for Graph Similarity Computation

no code implementations10 Nov 2021 Atefeh Sohrabizadeh, Yuze Chi, Jason Cong

While there have been many studies on hardware acceleration for deep learning on images, there has been a rather limited focus on accelerating deep learning applications involving graphs.

Graph Matching Graph Similarity +1

Pyxis: An Open-Source Performance Dataset of Sparse Accelerators

1 code implementation8 Oct 2021 Linghao Song, Yuze Chi, Jason Cong

In this work, we present PYXIS, a performance dataset for specialized accelerators on sparse data.

When HLS Meets FPGA HBM: Benchmarking and Bandwidth Optimization

1 code implementation12 Oct 2020 Young-kyu Choi, Yuze Chi, Jie Wang, Licheng Guo, Jason Cong

With the recent release of High Bandwidth Memory (HBM) based FPGA boards, developers can now exploit unprecedented external memory bandwidth.

Hardware Architecture

Optimality Study of Existing Quantum Computing Layout Synthesis Tools

5 code implementations22 Feb 2020 Bochen Tan, Jason Cong

In this paper, we construct QUEKO benchmarks for this problem, which have known optimal depth.

Quantum Physics Hardware Architecture

AutoAccel: Automated Accelerator Generation and Optimization with Composable, Parallel and Pipeline Architecture

no code implementations30 Jul 2018 Jason Cong, Peng Wei, Cody Hao Yu, Peng Zhang

Such a well-defined template is able to support efficient accelerator designs for a broad class of computation kernels, and more importantly, drastically reduce the design space.

Distributed, Parallel, and Cluster Computing Hardware Architecture

Computed Tomography Image Enhancement using 3D Convolutional Neural Network

no code implementations18 Jul 2018 Meng Li, Shiwen Shen, Wen Gao, William Hsu, Jason Cong

Computed tomography (CT) is increasingly being used for cancer screening, such as early detection of lung cancer.

Computed Tomography (CT) Image Enhancement +1

Cannot find the paper you are looking for? You can Submit a new open access paper.